AES Based Algorithm for Image Encryption and Decryption

Authors

  • Venkatesha G Brindavan College of Engineering, Bangalore
  • Dinesh S Brindavan College of Engineering, Bangalore
  • Manjunath M Brindavan College of Engineering, Bangalore

Keywords:

AES, Image, Encrypt, Decrypt, FPGA, Cipher text, NIST

Abstract

Security in transmission storage of digital image has its important data and image communication. AES is a well-known cipher that has block cipher which has several advantages. AES algorithm used for text data and also suitable for image encryption and decryption to protects confidential image data from an unauthorized access. In this paper we are developing verilog code to implement 128 bits .AES for image encryption and decryption which is synthesized and simulated on FPGA family of Spartan-6 using Xilinx ISE 12.4 tool.

Downloads

Download data is not yet available.

Downloads

Published

2019-03-08

How to Cite

[1]
V. G, D. S, and M. M, “AES Based Algorithm for Image Encryption and Decryption”, pices, vol. 2, no. 11, pp. 342-345, Mar. 2019.

Most read articles by the same author(s)